8~(}sirf,atlas7-cbsirf,atlas7& 7CSR SiRFatlas7 Evaluation Boardchosen$=console=ttySiRF1,115200 earlyprintkaliasesF/noc/gnssm/uart@18010000N/noc/gnssm/uart@18020000V/noc/gnssm/uart@18030000^/noc/gnssm/uart@18040000f/noc/gnssm/uart@18050000n/noc/gnssm/uart@18060000v/noc/btm/uart@11000000~/noc/audiom/usp@10d40000/noc/gnssm/spi@18200000/noc/audiom/usp@10d30000/noc/audiom/usp@10d40000/noc/btm/usp@11001000memorymemory@ cpuscpu@0cpuarm,cortex-a7cpu@1cpuarm,cortex-a7clocksxinw fixed-clockxinwxin fixed-clockxinarm-pmuarm,cortex-a7-pmuRnoc simple-bus interrupt-controller@10301000arm,cortex-a9-gic00 pmu_regulator@10E30020sirf,atlas7-pmu-ldo ldo ldoatlas7_codec@10E30000/sirf,atlas7-codec@>Gatlas7_iacc@10D01000/sirf,atlas7-iacc(R Wrxtx0tx1tx2tx3@>ipc@13240000sirf,atlas7-ipc $$hwspinlocksirf,hwspinlock$ans_m3_rproc@0sirf,ns2m30-rproc$ {ns_m3_rproc@1sirf,ns2m31-rproc$ ~ns_kal_rproc@0sirf,ns2kal0-rproc$ |ns_kal_rproc@1sirf,ns2kal1-rproc$ ioc@18880000sirf,atlas7-iocaudio_ac97@0audio_ac97oaudio_ac97_grp vaudio_ac97audio_func_dbg@0audio_func_dbgoaudio_func_dbg_grpvaudio_func_dbgaudio_i2s@0audio_i2soaudio_i2s_grp vaudio_i2saudio_i2s_2ch@0audio_i2s_2choaudio_i2s_2ch_grpvaudio_i2s_2chaudio_i2s_extclk@0audio_i2s_extclkoaudio_i2s_extclk_grpvaudio_i2s_extclkaudio_uart0@0audio_uart0oaudio_uart0_grp vaudio_uart0audio_uart1@0audio_uart1oaudio_uart1_grp vaudio_uart1audio_uart2@0audio_uart2_0oaudio_uart2_grp0vaudio_uart2_m0audio_uart2@1audio_uart2_1oaudio_uart2_grp1vaudio_uart2_m1c_can_trnsvr@0c_can_trnsvroc_can_trnsvr_grp vc_can_trnsvrc0_can@0c0_can_0 oc0_can_grp0 vc0_can_m0c0_can@1c0_can_1 oc0_can_grp1 vc0_can_m1c1_can@0c1_can_0 oc1_can_grp0 vc1_can_m0c1_can@1c1_can_1 oc1_can_grp1 vc1_can_m1c1_can@2c1_can_2 oc1_can_grp2 vc1_can_m2ca_audio_lpc@0ca_audio_lpcoca_audio_lpc_grp vca_audio_lpcca_bt_lpc@0ca_bt_lpcoca_bt_lpc_grp vca_bt_lpcca_coex@0ca_coex oca_coex_grpvca_coexca_curator_lpc@0ca_curator_lpcoca_curator_lpc_grpvca_curator_lpcca_pcm_debug@0ca_pcm_debugoca_pcm_debug_grp vca_pcm_debugca_pio@0ca_pio oca_pio_grpvca_pioca_sdio_debug@0ca_sdio_debugoca_sdio_debug_grpvca_sdio_debugca_spi@0ca_spi oca_spi_grpvca_spica_trb@0ca_trb oca_trb_grpvca_trbca_uart_debug@0ca_uart_debugoca_uart_debug_grpvca_uart_debugclkc@0clkc_0 oclkc_grp0vclkc_m0clkc@1clkc_1 oclkc_grp1vclkc_m1gn_gnss_i2c@0gn_gnss_i2cogn_gnss_i2c_grp vgn_gnss_i2cgn_gnss_uart_nopause@0gn_gnss_uart_nopauseogn_gnss_uart_nopause_grpvgn_gnss_uart_nopausegn_gnss_uart@0gn_gnss_uartogn_gnss_uart_grp vgn_gnss_uartgn_trg_spi@0gn_trg_spi_0ogn_trg_spi_grp0vgn_trg_spi_m0gn_trg_spi@1gn_trg_spi_1ogn_trg_spi_grp1vgn_trg_spi_m1cvbs_dbg@0cvbs_dbg ocvbs_dbg_grp vcvbs_dbgcvbs_dbg_test@0cvbs_dbg_test_0ocvbs_dbg_test_grp0vcvbs_dbg_test_m0cvbs_dbg_test@1cvbs_dbg_test_1ocvbs_dbg_test_grp1vcvbs_dbg_test_m1cvbs_dbg_test@2cvbs_dbg_test_2ocvbs_dbg_test_grp2vcvbs_dbg_test_m2cvbs_dbg_test@3cvbs_dbg_test_3ocvbs_dbg_test_grp3vcvbs_dbg_test_m3cvbs_dbg_test@4cvbs_dbg_test_4ocvbs_dbg_test_grp4vcvbs_dbg_test_m4cvbs_dbg_test@5cvbs_dbg_test_5ocvbs_dbg_test_grp5vcvbs_dbg_test_m5cvbs_dbg_test@6cvbs_dbg_test_6ocvbs_dbg_test_grp6vcvbs_dbg_test_m6cvbs_dbg_test@7cvbs_dbg_test_7ocvbs_dbg_test_grp7vcvbs_dbg_test_m7cvbs_dbg_test@8cvbs_dbg_test_8ocvbs_dbg_test_grp8vcvbs_dbg_test_m8cvbs_dbg_test@9cvbs_dbg_test_9ocvbs_dbg_test_grp9vcvbs_dbg_test_m9cvbs_dbg_test@10cvbs_dbg_test_10ocvbs_dbg_test_grp10vcvbs_dbg_test_m10cvbs_dbg_test@11cvbs_dbg_test_11ocvbs_dbg_test_grp11vcvbs_dbg_test_m11cvbs_dbg_test@12cvbs_dbg_test_12ocvbs_dbg_test_grp12vcvbs_dbg_test_m12cvbs_dbg_test@13cvbs_dbg_test_13ocvbs_dbg_test_grp13vcvbs_dbg_test_m13cvbs_dbg_test@14cvbs_dbg_test_14ocvbs_dbg_test_grp14vcvbs_dbg_test_m14cvbs_dbg_test@15cvbs_dbg_test_15ocvbs_dbg_test_grp15vcvbs_dbg_test_m15gn_gnss_power@0gn_gnss_powerogn_gnss_power_grpvgn_gnss_powergn_gnss_sw_status@0gn_gnss_sw_statusogn_gnss_sw_status_grpvgn_gnss_sw_statusgn_gnss_eclk@0gn_gnss_eclkogn_gnss_eclk_grp vgn_gnss_eclkgn_gnss_irq1@0gn_gnss_irq1_0ogn_gnss_irq1_grp0vgn_gnss_irq1_m0gn_gnss_irq2@0gn_gnss_irq2_0ogn_gnss_irq2_grp0vgn_gnss_irq2_m0gn_gnss_tm@0gn_gnss_tmogn_gnss_tm_grp vgn_gnss_tmgn_gnss_tsync@0gn_gnss_tsyncogn_gnss_tsync_grpvgn_gnss_tsyncgn_io_gnsssys_sw_cfg@0gn_io_gnsssys_sw_cfgogn_io_gnsssys_sw_cfg_grpvgn_io_gnsssys_sw_cfggn_trg@0gn_trg_0 ogn_trg_grp0 vgn_trg_m0gn_trg@1gn_trg_1 ogn_trg_grp1 vgn_trg_m1gn_trg_shutdown@0gn_trg_shutdown_0ogn_trg_shutdown_grp0vgn_trg_shutdown_m0gn_trg_shutdown@1gn_trg_shutdown_1ogn_trg_shutdown_grp1vgn_trg_shutdown_m1gn_trg_shutdown@2gn_trg_shutdown_2ogn_trg_shutdown_grp2vgn_trg_shutdown_m2gn_trg_shutdown@3gn_trg_shutdown_3ogn_trg_shutdown_grp3vgn_trg_shutdown_m3i2c0@0i2c0 oi2c0_grpvi2c0i2c1@0i2c1 oi2c1_grpvi2c1jtag@0jtag_0 ojtag_grp0vjtag_m0ks_kas_spi@0ks_kas_spi_0oks_kas_spi_grp0vks_kas_spi_m0ld_ldd@0ld_ldd old_ldd_grpvld_lddld_ldd_16bit@0ld_ldd_16bitold_ldd_16bit_grp vld_ldd_16bitld_ldd_fck@0ld_ldd_fckold_ldd_fck_grp vld_ldd_fckld_ldd_lck@0ld_ldd_lckold_ldd_lck_grp vld_ldd_lcklr_lcdrom@0lr_lcdromolr_lcdrom_grp vlr_lcdromlvds_analog@0lvds_analogolvds_analog_grp vlvds_analognd_df@0  nd_df ond_df_grpvnd_dfnd_df_nowp@0nd_df_nowpond_df_nowp_grp vnd_df_nowpps@0psops_grpvpspwc_core_on@0pwc_core_onopwc_core_on_grp vpwc_core_onpwc_ext_on@0pwc_ext_onopwc_ext_on_grp vpwc_ext_onpwc_gpio3_clk@0pwc_gpio3_clkopwc_gpio3_clk_grpvpwc_gpio3_clkpwc_io_on@0pwc_io_onopwc_io_on_grp vpwc_io_onpwc_lowbatt_b@0pwc_lowbatt_b_0opwc_lowbatt_b_grp0vpwc_lowbatt_b_m0pwc_mem_on@0pwc_mem_onopwc_mem_on_grp vpwc_mem_onpwc_on_key_b@0pwc_on_key_b_0opwc_on_key_b_grp0vpwc_on_key_b_m0pwc_wakeup_src0@0pwc_wakeup_src0opwc_wakeup_src0_grpvpwc_wakeup_src0pwc_wakeup_src1@0pwc_wakeup_src1opwc_wakeup_src1_grpvpwc_wakeup_src1pwc_wakeup_src2@0pwc_wakeup_src2opwc_wakeup_src2_grpvpwc_wakeup_src2pwc_wakeup_src3@0pwc_wakeup_src3opwc_wakeup_src3_grpvpwc_wakeup_src3pw_cko0@0pw_cko0_0 opw_cko0_grp0 vpw_cko0_m0pw_cko0@1pw_cko0_1 opw_cko0_grp1 vpw_cko0_m1pw_cko0@2pw_cko0_2 opw_cko0_grp2 vpw_cko0_m2pw_cko1@0pw_cko1_0 opw_cko1_grp0 vpw_cko1_m0pw_cko1@1pw_cko1_1 opw_cko1_grp1 vpw_cko1_m1pw_i2s01_clk@0pw_i2s01_clk_0opw_i2s01_clk_grp0vpw_i2s01_clk_m0pw_i2s01_clk@1pw_i2s01_clk_1opw_i2s01_clk_grp1vpw_i2s01_clk_m1pw_pwm0@0pw_pwm0 opw_pwm0_grpvpw_pwm0pw_pwm1@0pw_pwm1 opw_pwm1_grpvpw_pwm1pw_pwm2@0pw_pwm2_0 opw_pwm2_grp0 vpw_pwm2_m0pw_pwm2@1pw_pwm2_1 opw_pwm2_grp1 vpw_pwm2_m1pw_pwm3@0pw_pwm3_0 opw_pwm3_grp0 vpw_pwm3_m0pw_pwm3@1pw_pwm3_1 opw_pwm3_grp1 vpw_pwm3_m1pw_pwm_cpu_vol@0pw_pwm_cpu_vol_0opw_pwm_cpu_vol_grp0vpw_pwm_cpu_vol_m0pw_pwm_cpu_vol@1pw_pwm_cpu_vol_1opw_pwm_cpu_vol_grp1vpw_pwm_cpu_vol_m1pw_backlight@0pw_backlight_0opw_backlight_grp0vpw_backlight_m0pw_backlight@1pw_backlight_1opw_backlight_grp1vpw_backlight_m1rg_eth_mac@0rg_eth_macorg_eth_mac_grp vrg_eth_macrg_gmac_phy_intr_n@0rg_gmac_phy_intr_norg_gmac_phy_intr_n_grpvrg_gmac_phy_intr_nrg_rgmii_mac@0rg_rgmii_macorg_rgmii_mac_grp vrg_rgmii_macrg_rgmii_phy_ref_clk@0rg_rgmii_phy_ref_clk_0org_rgmii_phy_ref_clk_grp0vrg_rgmii_phy_ref_clk_m0rg_rgmii_phy_ref_clk@1rg_rgmii_phy_ref_clk_1org_rgmii_phy_ref_clk_grp1vrg_rgmii_phy_ref_clk_m1sd0@0sd0osd0_grpvsd0sd0_4bit@0sd0_4bit osd0_4bit_grp vsd0_4bitsd1@0sd1osd1_grpvsd1sd1_4bit@0sd1_4bit_0osd1_4bit_grp0 vsd1_4bit_m0sd1_4bit@1sd1_4bit_1osd1_4bit_grp1 vsd1_4bit_m1sd2@0sd2_0 osd2_grp0vsd2_m0sd2_no_cdb@0sd2_no_cdb_0osd2_no_cdb_grp0vsd2_no_cdb_m0sd3@0sd3osd3_grpvsd3sd5@0sd5osd5_grpvsd5sd6@0sd6_0 osd6_grp0vsd6_m0sd6@1sd6_1 osd6_grp1vsd6_m1sp0_ext_ldo_on@0sp0_ext_ldo_onosp0_ext_ldo_on_grpvsp0_ext_ldo_onsp0_qspi@0sp0_qspi osp0_qspi_grp vsp0_qspisp1_spi@0sp1_spi osp1_spi_grpvsp1_spitpiu_trace@0tpiu_traceotpiu_trace_grp vtpiu_traceuart0@0uart0 ouart0_grpvuart0uart0_nopause@0uart0_nopauseouart0_nopause_grpvuart0_nopauseuart1@0uart1 ouart1_grpvuart1uart2@0uart2 ouart2_grpvuart2uart3@0uart3_0 ouart3_grp0 vuart3_m0uart3@1uart3_1 ouart3_grp1 vuart3_m1uart3@2uart3_2 ouart3_grp2 vuart3_m2uart3@3uart3_3 ouart3_grp3 vuart3_m3uart3_nopause@0uart3_nopause_0ouart3_nopause_grp0vuart3_nopause_m0uart3_nopause@1uart3_nopause_1ouart3_nopause_grp1vuart3_nopause_m1uart4@0uart4_0 ouart4_grp0 vuart4_m0uart4@1uart4_1 ouart4_grp1 vuart4_m1uart4@2uart4_2 ouart4_grp2 vuart4_m2uart4_nopause@0uart4_nopauseouart4_nopause_grpvuart4_nopauseusb0_drvvbus@0usb0_drvvbusousb0_drvvbus_grp vusb0_drvvbususb1_drvvbus@0usb1_drvvbusousb1_drvvbus_grp vusb1_drvvbusvisbus_dout@0visbus_doutovisbus_dout_grp vvisbus_doutvi_vip1@0vi_vip1 ovi_vip1_grpvvi_vip1vi_vip1_ext@0vi_vip1_extovi_vip1_ext_grp vvi_vip1_extvi_vip1_low8bit@0vi_vip1_low8bitovi_vip1_low8bit_grpvvi_vip1_low8bitvi_vip1_high8bit@0vi_vip1_high8bitovi_vip1_high8bit_grpvvi_vip1_high8bitpmipcarteris, flexnocsimple-bus $$pmipc@0x13240000sirf,atlas7-pmipc$dramfwarteris, flexnocsimple-bus dramfw@10820000sirf,nocfw-dramfwspramfwarteris, flexnocsimple-bus %%0spramfw@10820000sirf,nocfw-spramfw%0cpumarteris, flexnocsimple-bus   0cpum@10200000sirf,nocfw-cpum 0cgumarteris, flexnocsimple-bus$dd0bbcccgum@18641000sirf,nocfw-cgumd0clock-controller@18620000sirf,atlas7-carbpwm@18630000sirf,prima2-pwmc0@-pwmcsigsrc0sigsrc1sigsrc2sigsrc3sigsrc4gnssmarteris, flexnocsimple-bus  @0%%  dma-controller@18000000sirf,atlas7-dmac @Ygnssmfw@0x18100000sirf,nocfw-gnssm0uart@18010000sirf,atlas7-uart @ZRWrxtxuart@18020000sirf,atlas7-uart @X uart@18030000sirf,atlas7-uart @[RWrxtx disableduart@18040000sirf,atlas7-uart B@\RWrxtx disableduart@18050000sirf,atlas7-uart E@]RWrxtx disableduart@18060000sirf,atlas7-uart G@^R Wrxtx disabledeth@180b0000snps, dwc-eth-qos @;Fmacirqmacpmt @'-VW"gnssm_rgmiignssm_gmacrgmiigmacrgmiidspub@18250000 dx,cc44p% spi@18200000sirf,prima2-spi  @_R  Wrxtxokaymacronix@0okaymacronix,mx25l6405d<4`partitions@0 %myspibootgpumarteris, flexnocsimple-bus00gpum@0x13000000sirf,nocfw-gpum0dma-controller@13010800sirf,atlas7-dmac-v2 @+dma-controller@13011000sirf,atlas7-dmac-v2 @+sdr@0x13010000sirf,atlas7-sdr$ @RWtxrxmediamarteris, flexnocsimple-bus`   0multimedia@15000000sirf,atlas7-video-codec @fmediam@170A0000sirf,nocfw-mediam 0gpio_mediam@170400009sirf,atlas7-gpio @k gpio0_ioEU ` !llvds_gpio_grpuart_nand_gpio_grpnand@17050000sirf,atlas7-nanddefault  )@lpnand_ionand_nand sdhci@16000000sirf,atlas7-sdhc &@mo coreiface disabledsdhci@16100000sirf,atlas7-sdhc &@mo coreiface disabledjpeg@17000000sirf,atlas7-jpegHI@gusb@17060000sirf,atlas7-usb @q utmiotg high-speedokayusb@17070000sirf,atlas7-usb @r utmihost high-speedokayusbphy@0sirf,atlas7-usbphy@sokay  usbphy@1sirf,atlas7-usbphy@tokay  i2c@17020000sirf,prima2-i2c @ivdifmarteris, flexnocsimple-bus$))000  `vdifm@13290000sirf,nocfw-vdifm)0gpio_vdifm@133000009sirf,atlas7-gpio00+,-.@T gpio1_ioEU@` @`Clgnss_gpio_grplcd_vip_gpio_grpsdio_i2s_gpio_grpsp_rgmii_gpio_grpsdhci@14200000sirf,atlas7-sdhc  @FK coreiface disabled vqmmc@2-P+0  vqmmc-ldoCvoltageRd  sdhci@14300000sirf,atlas7-sdhc0 @LQ coreiface disabledsdhci@14500000sirf,atlas7-sdhcP '@GL coreiface disabled{sdhci@14600000sirf,atlas7-sdhc` b@HM coreiface disabledsdhci@14700000sirf,atlas7-sdhcp b@HM coreiface disabledaudiomarteris, flexnocsimple-busx0܀܀@timer@10dc0000sirf,atlas7-tickH123@/timerb@10dc8000sirf,atlas7-tick܀HJKLMNO@/vip0@10db0000sirf,atlas7-vip0  Ucvd@10db2000 sirf,cvd @.dma-controller@10d50000sirf,atlas7-dmac 7@<dma-controller@10d60000sirf,atlas7-dmac 8@=adc@10d80000sirf,atlas7-adc "@1pulsec@10d90000sirf,prima2-pulsec *@6audiom@10ED0000sirf,nocfw-audiom0 fusp@10d30000@:RWrxtxusp@10d40000 @;R  Wrxtx disabledddrmarteris, flexnocsimple-bus0 ddrm@10820000sirf,nocfw-ddrm0 imemory-controller@0x10800000sirf,atlas7-memc btmarteris, flexnocsimple-bus0  0dma-controller@11002000sirf,atlas7-dmac  c@uart@11000000%sirf,atlas7-bt-uartsirf,atlas7-uart d@uartgeneralnocR  Wrxtxokayusp@11001000'sirf,atlas7-bt-uspsirf,prima2-usp-pcm(@.usp3_ioa7ca_btssa7ca_ionoc_btm_iothbtm_ioRWrxtxbtm@11010000sirf,nocfw-btm0rtcmarteris, flexnocsimple-bus<0rtcm@18810000sirf,nocfw-rtcm0 mgpio_rtcm@188900009sirf,atlas7-gpio /EU` lrtc_gpio_grprtc-iobg@188400007sirf,prima2-rtciobgsirf-prima2-rtciobg-bussimple-bussysrtc@2000sirf,prima2-sysrtc  4pwrc@3000sirf,atlas7-pwrc0flash@188B0000sirf,atlas7-qspi-nor retain@0x188D0000sirf,atlas7-retaindisp-iobg simple-bus$lcd@13100000sirf,atlas7-lcdc @Ovpp@13110000sirf,atlas7-vpp @Nlvds@10e10000sirf,atlas7-lvdsc @@6g2d@17010000sirf, atlas7-g2d =@hgraphics-iobg simple-bus graphics@12000000powervr,sgx531 @~display@0 lvds-panellvds.0 ?display-timingstiming0Xd *7AN[egpio_keys gpio-keysokayrearview_key %rearview keyu dreserved-memoryvpp_mem@5e800000sirf,reserved-memory^nanddisk@46000000F    #address-cells#size-cellscompatibleinterrupt-parentmodelbootargsserial0serial1serial2serial3serial4serial5serial6serial9spi1spi2spi3spi4device_typereg#clock-cellsclock-frequencyclock-output-namesinterruptsrangesinterrupt-controller#interrupt-cellslinux,phandleregulator-name#sound-dai-cellsclocksldo-supplydmasdma-namesnum-spinlocksgroupsfunction#reset-cells#pwm-cellsclock-namescell-indexdma-channels#dma-cellsfifosizestatusinterrupt-nameslocal-mac-addressphy-modespi-max-frequencyspi-cphaspi-cpollabel#dma-channels#gpio-cellsgpio-controllergpio-banksgpio-rangesgpio-ranges-group-namespinctrl-namespinctrl-0memory-regionwp-invertednon-removablebus-widthsirf,usbphyphy_typedr_modemaximum-speedsd-uhs-sdr50vqmmc-supplyregulator-min-microvoltregulator-max-microvoltregulator-typeregulator-boot-onregulator-allow-bypassloop-dmasirf,vip_cma_size#io-channel-cellssirf,uart-has-rtsctsresetssourcebl-gpiosdata-linesnative-modehactivevactivehfront-porchhback-porchhsync-lenvback-porchvfront-porchvsync-lenhsync-activevsync-activede-activepixelclk-activelinux,codedebounce_intervalno-map